Moku:Pro云编译功能的基本步骤

日期:2023-01-06 浏览量:413



▍前言                          

Moku Cloud Compile云编译(MCC)是Liquid Instruments Moku产品的一项功能,该功能允许用户在Moku设备内运行自定义逻辑。创建此自定义逻辑的基本工作流程是:


  1. 01登录MCCWebIDE并创建项目


  2. 02编写HDL逻辑,从转换器工具上传逻辑或加载示例


  3. 03构建设计


  4. 04将设计部署到您的Moku。


  5. 所有Moku云编译模块都只是提供CustomWrapper实体体系结构的VHDL实体。CustomWrapper定义了信号输入端口、信号输出端口和控制寄存器,并由Liquid Instruments预先定义。以下片段显示了由前两个输入的和差驱动的前两个输出。在典型配置中,输入端口可能是来自ADC或其他仪器的数字化信号;输出可能连接到其他仪器或直接连接到DAC。



根据硬件配置和信号源,输入和输出具有不同的缩放。一旦你有了这样一个实体,你需要将它部署到你的Moku,本文将向您演示具体步骤。


▍查找文档

有关最新的留档,请参阅Moku Cloud Compile留档站点或在登录后单击基于Web的IDE屏幕左下角的帮助按钮。


▍登录到Moku Cloud Compile

Moku Cloud Compile使用基于Web的集成开发环境(IDE),您可以在其中编写代码并构建设计。https://compile.liquidinstruments.com打开页面。

如果这是您第一次访问,请注册一个帐户或立即使用Google帐户登录。如果您已经创建了用户名和密码,请在登录屏幕上输入它们。该平台的账号支持在Open Beta期间免费注册。

云编译功能登录界面


▍创建您的第一次云编译


创建新项目

IDE显示的第一个屏幕是项目屏幕,您可点击右上角的按钮创建一个新项目。


撰写代码

从左侧的文件选项卡创建一个新文件并输入文件名。您的代码可以在右侧的编辑器窗格中编写。例如,在输入上面介绍中的简单示例代码后,您的IDE屏幕可能如下所示:


▍链接

要实现您的设计,您必须针对特定设备。设备是硬件(例如Moku:Pro或Moku:Go)和固件(例如版本568)的组合。此外,选择适当数量的插槽,Moku:Pro为4,Moku:Go为2。



▍查找您的固件版本

在桌面应用程序上,右键单击您的Moku,选择并记下固件版本。在iPad上,您可以通过长按设备查看此设备信息。



▍创建或更新您的设备

在设备屏幕上,添加新设备或编辑现有设备。硬件和固件版本必须与您的Moku上的版本完全匹配。


固件不匹配


尝试在Moku上部署MCC设计,其固件版本与其构建版本不同,可能会导致Moku挂起,需要一个上电周期来等待完成。



▍构建您的设计

在您的MCC项目中:


在目标下拉列表中选择新创建的设备


构建您的项目


展开日志面板以查看进度。当所有指示器都为绿色时,单击以下载要部署到Mokubitstream.tar.gz


构建时间取决于硬件平台和项目复杂性。Moku:Go上的简单项目将在3-5分钟内构建,而Moku:Pro设计可能需要长达半小时。


下载构建后,下一步是将设计上传到您的Moku。最简单的方法是使用Moku的内置Web界面。



▍查找您的IP地址

Moku的IP地址与其固件版本在同一屏幕上,见上文。

在Moku应用程序中找到您的设备IP地址


在Moku的Web界面上传


在浏览器中导航到该IP地址。例如,如果您找到了Moku的IP,请访问http://10.1.111.11


1. 在右上角选择。您的屏幕可能会有所不同,具体取决于您的Moku上当前运行的内容。


2. 在上传比特流框中上传MCC设计文件。


▍IPv6

请注意,此步骤要求您在浏览器中访问Moku的控制界面,如果您使用的是IPv6“链路本地”地址,则无法访问Moku。


▍在多仪器模式下部署


您的设计现在已在您的Moku上,当您以多仪器模式(MiM)将“云编译”仪器放入插槽时,您的设计现在将被部署。使用MiM配置屏幕将您的设计与任何其他可能需要的仪器一起部署。您还可以使用此屏幕配置MCC设计与Moku上的其他仪器和/或物理BNC连接器之间的路由。


将至少一个Cloud Compile工具添加到您的MiM配置中


应用设置以开始运行您的设计


应用MiM配置后,您可以通过单击已部署的Cloud Compile工具来编辑设计的控制寄存器。


 

在多仪器模式下,使用系统构建器部署Moku Cloud编译设计


▍多仪器并行使用

以多仪器模式部署云编译后,您可以访问所有Moku功能,以查看您的MCC设计。例如,上面使用的“和差”示例可以通过结合波形发生器和示波器进行测试。左侧的配置还有波形发生器信号和和差信号,这些信号被路由到DAC。右侧的示波器图像显示了1Vpp正弦波和100mVpp斜坡波的和差结果。

MCC计算输入信号的和与差的MIM配置(左图)。信号由波形发生器产生,结果显示在示波器上(右图)

与Moku Cloud Compile设计一起部署的示波器的屏幕截图。此设计取自“平方根”示例,输入信号为蓝色,该值的平方根实时计算,输出并显示为红色。


▍关于Liquid Instruments

Liquid Instruments 成立于2014年,专注高精度科学测试测量仪器的研发,致力于简化实验室工作流程来创造更直观、灵活流畅的实验室体验。Liquid Instruments由澳大利亚国立大学(ANU)量子科学系终身教授Daniel Shaddock建立,研发团队由ANU激光干涉、精密测量、数据科学、软件设计和工程等科研人员组成,拥有NASA JPL、引力波探测等专业研究背景经历。